
Link: https://gamemasterquilpue.000webhostapp ... n_CPLD.htm
Gracias a xt5 por su contribución, saludos.
Código: Seleccionar todo
-- Engineer: Robin Edwards
-- Create Date: 09:31:23 02/22/2015
-- atarimax 8-mbit banking scheme
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity BankSwitch is
port (
CCTL, CLK : in std_logic; -- cartridge 'CCTL, PHI2
ADDR_IN : in std_logic_vector (7 downto 0); -- low 8 address lines of cartridge bus
BANK_OUT : out std_logic_vector (5 downto 0); -- to high EEPROM address bits
RD5 : out std_logic -- cart enable
);
end BankSwitch;
architecture Behavioral of BankSwitch is
signal cart_disabled : std_logic;
begin
RD5 <= NOT cart_disabled;
process (CLK)
begin
if (rising_edge(CLK)) then
if (CCTL = '0') then
if (ADDR_IN(7) = '1') then
cart_disabled <= '1';
BANK_OUT <= "000000";
else
cart_disabled <= '0';
BANK_OUT <= ADDR_IN(5 downto 0);
end if;
end if;
end if;
end process;
end Behavioral;
Felicidades por el proyecto!!Chongo escribió:Estimados:
Uno de esos componentes es un regulador de voltaje, ya que si bien el Xilinx puede manipular datos a 5v, para su operacion solo funciona con 3,3V.
....
Todos los archivos de la placa y para programar el XC9536XL estan en el link de mas arriba. Pero OJO, que lo que mas me demoro fue comprar el XC9536 sin la XL.
Otro dato importante es que la placa esta diseñada para los EEprom del tipo 29 y para que por ejemplo funcionen los 27c040 hay que cortar la pista entre los pines 32 y 31, y realizar un puente del pin 1 al pin 31.